Perancangan Dan Pembuatan Sistem Parkir Otomatis Dengan Menggunakan Koin Berbasis Mikrokontroler AT89S52 Secara Hardware

(1)

MIKROKONTROLER AT89S52

SECARA HARDWARE

TUGAS AKHIR

IRVAN NANDA PRAWIRA

072408031

PROGRAM STUDI D3 FISIKA INSTRUMENTASI

DEPARTEMEN FISIKA

FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN

ALAM

UNIVERSITAS SUMATERA UTARA

MEDAN


(2)

PERANCANGAN DAN PEMBUATAN SISTEM PARKIR

OTOMATIS MENGGUNAKAN KOIN BERBASIS

MIKROKONTROLER AT89S52

SECARA HARDWARE

TUGAS AKHIR

Diajukan untuk melengkapi tugas dan memenuhi syarat memperoleh Ahli Madya

PROGRAM STUDI D3 FISIKA INSTRUMENTASI

DEPARTEMEN FISIKA

FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN

ALAM

UNIVERSITAS SUMATERA UTARA

MEDAN


(3)

PERSETUJUAN

Judul : PERANCANGAN DAN PEMBUATAN SISTEM

PARKIR OTOMATIS DENGAN MENGGUNAKAN KOIN BERBASIS MIKROKONTROLER AT89S52 SECARA HARDWARE

Kategori : TUGAS AKHIR

Nama : IRVAN NANDA PRAWIRA

Nomor Induk Mahasiswa : 072408031

Program Studi : DIPLOMA 3 (D3) FISIKA INSTRUMENTASI

Departemen : FISIKA

Fakultas : MATEMATIKA DAN ILMU PENGETAHUAN

ALAM (MIPA) UNIVERSITAS SUMATERA UTARA

Diluluskan di Medan,

Komisi Pembimbing :

Ketua Program Studi,

D3 Fisika Instrumentasi Pembimbing,

(Drs.Syarul Humaidi, M.Sc) (Drs. Ansharudin)


(4)

PERNYATAAN

PERANCANGAN DAN PEMBUATAN SISTEM PARKIR

OTOMATIS MENGGUNAKAN KOIN BERBASIS

MIKROKONTROLER AT89S52

SECARA HARDWARE

TUGAS AKHIR

Saya mengakui bahwa tugas akhir ini adalah hasil kerja saya sendiri, kecuali beberapa hal kutipan dan ringkasan yang masing-masing disebutkan sumbernya.

Medan,

IRVAN NANDA PRAWIRA 072408031


(5)

PENGHARGAAN

Puji dan syukur penulis ucapkan kepada Allah Subhanahuwat’ala, atas segala karunia-Nya yang diberikan kepada penulis sehingga penulis diberikan kemudahan dan kelancaran dalam menyelesaikan penulisan Laporan Tugas Akhir dengan baik. Sholawat dan salam semoga senantiasa tercurahkan kepada Rosulullah Sallallahu’alaihiwassalam yang memberikan petunjuk dan selalu menjadi inspirasi dan teladan bagi penulis.

Pada kesempatan kali ini penulis ingin mengucapkan ucapan terima kasih sebesar–besarnya kepada Dekan dan Pembantu Dekan FMIPA USU, Ketua Jurusan DIII Fisika Instrumentasi Bapak Drs.Syahrul Humaidi,M.Sc. Sekretaris Jurusan Departemen Fisika Ibu Dra.Yustinon,M.Si. Dan khusus kepada Bapak Drs.Ansharuddin selaku Dosen Pembimbing penulis dalam penulisan dan penyusunan tugas akhir ini yang telah banyak membantu juga memberikan kepercayaan dan waktu kepada penulis untuk dapat menyelesaikan tugas akhir ini. Serta kepada seluruh staf dan Dosen pengajar di Departemen Fisika FMIPA USU yang telah banyak membantu penulis selama menempuh pendidikan di bangku perkuliahan.

Penulis memberikan penghargaan dan penghormatan kepada Ayahanda dan Ibunda dan seluruh keluarga yang telah banyak membantu melalui doa, dan moril maupun materil. Semoga ALLAH memberikan kesehatan dan rezeki makin bertambah-tambah..Kepada teman-teman Fisika Instrumentasi yang telah


(6)

memberikan dukungan moril pada penulis, Bang Abdullah terimah kasih atas segala bantuan dan kerja samanya semoga Allah membalasnya dengan pahala terbaik, Juhendra siregar sebagai teman satu tim dalam pelaksanaan tugas akhir, juga kepada Lina Juliana ( bunda ) pacar saya yang menberikan semangat untuk menyelesaikan tugas akhir, Tuya, Feri, selaku teman satu kontrakan yang telah memberikan bantuan sehingga tugas akhir ini dapat terselesaikan, dan kepada seluruh teman-teman seperjuangan lainnya yang tidak mungkin penulis sebutkan disini. Semoga Allah Subhanahuwata’ala melimpahkan kesejahteraan dan keselamatan kepada kalian semua. Amin.

Penulis menyadari bahwa dalam Laporan Tugas Akhir ini masih banyak terdapat kekurangan. Oleh karena itu penulis mengharapkan saran dan kritik yang bersifat membangun untuk perbaikan dan kesempurnaan Laporan Tugas Akhir ini dimasa yang akan datang. Semoga Laporan Tugas Akhir ini dapat bermanfaat kepada para pembaca dan memberikan suatu inspirasi bagi penerapan teknologi dalam kehidupan sehari-hari.

Medan, juli 2010

Irvan Nanda Prawira 072408031


(7)

ABSTRAK

Sistem Parkir Otomatis menggunakan koin yang ada di mall maupun perkantoran berfungsi secara otomatis yang dapat bekerja sendiri,cepat,teliti tanpa ada bantuan manusia dalam mengerjakan proses pemarkiran, mulai dari memasukan koin sampai keluar areal parkir. dimana alat ini dilengkapi berbagai indikator agar pengguna parkir mengetahui informasi jika mendukung syarat untuk memasuki areal parkir. aplikasi ini hanyalah sebuah simulasi yang menggambarkan sebuah aplikasi untuk sistem parkir yang dapat bergerak secara otomatis.

Sistem ini mempunyai enam bagian umum yaitu sensor warna (LDR) yang akan menyeleksi koin bewarna putih yang sudah ditentukan,sensor penghalang (inframerah) yang mengetahui ada tidaknya mobil yang masuk dan keluar dari areal parkir, motor stepper yang berfungsi sebagai pengerak palang pembuka dan penutup pintu masuk, pintu keluar, penggerak wadah koin, penggerak batas koin pada sensor warna(LDR),saklar limit switch yang akan mengetahui ada atau tidaknya koin yang masuk,sebagai penyeleksi berat koin, dan pusat pengolahan data yaitu mikrokontroler AT89S52 serta indukator-indukator yang digunakan LCD 2X16, sirine. Mikrokontroler inilah yang menjadi central processing baik dalam membaca data sensor maupun dalam mengaktifkan indicator dan motor stepper.


(8)

DAFTAR ISI

Halaman

Persetujuan ... i

Pernyataan ... ii

Penghargaan ... iii

Abstrak ... v

Daftar Isi ... vi

Daftar Tabel ... viii

Daftar Gambar ... ix

BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah ... 1

1.2 Tujuan Penulisan ... 3

1.3 Batasan Masalah ... 3

1.4 Sistematika Penulisan ... 4

BAB 2 LANDASAN TEORI 2.1 Teori Dasar ... 6

2.1.1 Arsitektur Mikrokontroler AT89S52 ... 6

2.1.2 Sensor Warna LDR ... 13

2.1.3 Photodioda...14

2.1.4 LED Inframerah ... 16

2.1.5 Motor Stepper...19

2.1.6 Liquid Crystal Display ... 21

2.1.7 Relay...23

BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Alat ... 25

3.1.1 Diagram Blok ... 25

3.1.2 Perancangan Warna LDR ... 28


(9)

3.1.4 Rangkaian Catudaya (PSA) ... 31

3.1.5 Perancangan Driver Penggerak Motor Stepper ... 37

3.1.6 Rangkaian Relay...38

3.1.7 Perancangan Rangkaian Saklar Batas...39

3.1.8 Display LCD Character 2x16... 40

3.2 Kerja Alat Keseluruhan ... …43

BAB 4 PENGUJIAN RANGKAIAN DAN ANALISA 4.1 Pengujian Rangkaian ... 45

4.1.1 Pengujian Rangkaian Mikrokontroler AT89S52 ... 45

4.1.2 Pengujian Rangkaian sensor Inframerah ... 46

4.1.3 Pengujian Rangkaian Driver Motor Stepper ... 47

4.1.4 Pengujian Rangkaian PSA ... 47

4.1.5 Pengujian Rangkaian Sirine... 48

4.1.6 Pengujian Rangkaian Saklar Batas...49

4.1.7 Pengujian LCD...49

BAB 5 KESIMPULAN DAN SARAN 5.1 Kesimpulan ... ..53

5.2 Saran ... 53 Daftar Pustaka


(10)

DAFTAR TABEL

Tabel 2.1. Konfigurasi Port 3 Mikrokontroler AT89S52...12 Tabel 3.1 Fungsi Pin LCD Character 2x16...41


(11)

DAFTAR GAMBAR

Gambar 2.1 Blok Diagram Fungsional AT89S52...7

Gambar 2.2 Konfigurasi Pin AT89S52...10

Gambar 2.3 LDR (Light Dependent Resistor)...13

Gambar 2.4 Photodioda dan simbolnya...15

Gambar 2.5 Gambar Led Inframerah...17

Gambar 2.6 Simbol dan Rangkaian dasar sebuah LED...19

Gambar 2.7 Diagram Motor Langkah (Stepper)...20

Gambar 2.8 Pemberian Data/Pulsa Pada Motor Stepper...21

Gambar 2.9 Diagram Blok Tampilan Kristal Cair (LCD)...22

Gambar 2.10 Relay...23

Gambar 3.1. Diagram Blok Sistem Parkir Otomatis...25

Gambar 3.2 Skematik Sensor Warna...29

Gambar 3.3 Rangkaian Minimum Mikrokontroller AT89S52...30

Gambar 3.4 Rangkaian Power Supply (PSA)...31

Gambar 3.5 Rangkaian Pemancar Inframerah...33

Gambar 3.6 Rangkaian Penerima Sinar Inframerah...34

Gambar 3.7 IC ULN 2803 Dan Driver Motor Stepper...37

Gambar 3.8 Rangkaian Relay Pengendali Sirine...38

Gambar 3.9 Rangkaian Saklar Batas...39

Gambar 3.10 LCD Character 2 x 16...41

Gambar 3.11 Peta Memory LCD 2 x 16...42

Gambar 4.1 Pengujian Rangkaian Mikrokontroller AT89S52...45

Gambar 4.2 Rangkaian Sensor Inframerah...46

Gambar 4.3 Rangkaian Driver Motor Stepper...47

Gambar 4.4 Rangkaian PSA...48


(12)

ABSTRAK

Sistem Parkir Otomatis menggunakan koin yang ada di mall maupun perkantoran berfungsi secara otomatis yang dapat bekerja sendiri,cepat,teliti tanpa ada bantuan manusia dalam mengerjakan proses pemarkiran, mulai dari memasukan koin sampai keluar areal parkir. dimana alat ini dilengkapi berbagai indikator agar pengguna parkir mengetahui informasi jika mendukung syarat untuk memasuki areal parkir. aplikasi ini hanyalah sebuah simulasi yang menggambarkan sebuah aplikasi untuk sistem parkir yang dapat bergerak secara otomatis.

Sistem ini mempunyai enam bagian umum yaitu sensor warna (LDR) yang akan menyeleksi koin bewarna putih yang sudah ditentukan,sensor penghalang (inframerah) yang mengetahui ada tidaknya mobil yang masuk dan keluar dari areal parkir, motor stepper yang berfungsi sebagai pengerak palang pembuka dan penutup pintu masuk, pintu keluar, penggerak wadah koin, penggerak batas koin pada sensor warna(LDR),saklar limit switch yang akan mengetahui ada atau tidaknya koin yang masuk,sebagai penyeleksi berat koin, dan pusat pengolahan data yaitu mikrokontroler AT89S52 serta indukator-indukator yang digunakan LCD 2X16, sirine. Mikrokontroler inilah yang menjadi central processing baik dalam membaca data sensor maupun dalam mengaktifkan indicator dan motor stepper.


(13)

BAB 1

PENDAHULUAN

1.1 Latar Belakang Masalah

Perkembangan teknologi instrumentasi elektronika sekarang mengalami perkembangan yang sangat pesat, sudah banyak dikenal dan bukan sesuatu hal yang asing lagi. Manusia membutuhkan bantuan dari sesuatu yang dapat bekerja cepat, teliti, dan tidak mengenal lelah. Sistem otomatisasi dapat menggantikan manusia untuk mengerjakan sesuatu perkerjaan. Kemajuan teknologi dalam bidang elektronika akan mampu mengatasi masalah-masalah yang rumit sekalipun, dengan ketelitian dan kecepatan serta ketepatan yang sangat tinggi.

Dalam kehidupan yang serba modern sekarang ini, semakin banyak tempat-tempat perbelanjaan, hiburan dan lain-lain dimana tentunya didukung dengan masyarakatnya yang juga semakin maju yang sudah tentu memiliki mobil. Tak sedikit dari mereka yang bepergian ketempat tersebut membawa kenderaannya. Dari pernyataan tersebut sudah tentu tempat-tempat perbelanjaan, hiburan harus mempunyai tempat parkir yang memadai baik area yang luas dan harus didukung dengan sistem parkir yang bagus. Sementara tempat-tempat tersebut harus mengeluarkan biaya mahal untuk membayar gaji karyawannya hanya untuk memberikan tiket parkir membuka palang pintu masuk/keluar dan menerima bayaran parkir. Berangkat dari masalah ini penulis membuat tugas akhir


(14)

yang berjudul Perancangan dan Pembuatan Sistem Parkir Otomatis Menggunakan Koin Berbasis Mikrokontroler AT89S52.

Dengan adanya alat ini sistem parkir dapat dijalankan secara otomatis, sehingga penguna parkir hanya memasukkan koin yang sesuai, lalu dapat memasuki area parkiran, ditambah dengan tampilan pesan yang mendukung sehingga pengguna parkir mengetahui informasi tentang area parkiran, layaknya alat ini seperti manusia yang memberi informasi. Sistem ini juga memiliki keamanan sehingga pengguna parkir yang tidak memasukkan koin yang sesuai tidak akan bisa masuk area parkir. Maka, dengan alat ini sistem parkir akan dikerjaan secara otomatis, cepat, mudah, aman dan dilengkapi dengan tampilan pesan-pesan bagi pengguna parkiran.

Mikrokontroler AT89S52 adalah chip mikrokontroler produksi Atmel Inc, merupakan keluarga dari MCS-51 rancangan Intel. AT89S52 mempunyai fitur dasar yang cukup lengkap untuk suatu pemrosesan input-output. Bahasa pemrograman yang digunakan AT89S52 hampir tidak jauh berbeda dengan instruksi set pada mikroprosesor intel yang sudah dipelajari pada perkuliahan. Mikrokontroler adalah system computer yang ringkas, dapat menggantikan fungsi computer dalam pengendalian kerja dan desain yang jauh lebih ringkas daripada computer. Dengan ukurannya yang sangat kecil mikrokontroler dapat digunakan pada peralatan yang bersifat bergerak (mobile) dalam hal ini adalah pada sebuah sistem parkir otomatis.


(15)

1.2 Tujuan Penulisan

Tujuan dilakukan tugas akhir ini adalah sebagai berikut:

1. Merakit suatu alat sistem parkir otomatis menggunakan koin berbasis mikrokontroler AT89S52.

2. Untuk mengetahui keefektifan sensor LDR dalam mendeteksi warna koin pada sistem parkis otomatis dengan cara LDR dimasukkan dalam sistem komperator sehingga setiap warna putih akan menghasilkan nilai hambatan yang dikeluarkan oleh LDR, lain warna yang dideteksi lain pula nilai hambatan yang dikeluarkan oleh LDR.

3. Untuk memanfaatkan mikrokontroler sebagai pusat pemproses data yang diberikan oleh sensor.

4. Merancang suatu alat yang mudah digunakan, cepat, teliti dan dilengkapi dengan sistem otomatis.

5. Sebagai informasi bagaimana dasar membangun sebuah instrument yang dapat digunakan sebagai sistem parkir otomatis menggunakan koin.

1.3 Batasan Masalah

Penulisan tugas akhir ini dibatasi pada:

1. Alat ini difokuskan terhadap sistem parkir otomatis menggunakan koin dibahas secara hardware.

2. Koin yang memenuhi syarat untuk memasuki area parkir ditentukan oleh warna dan beratnya koin yang telah ditentukan.

3. Mikrokontroler yang digunakan adalah jenis AT89S52 yang mempunyai spesifikasi sebagai berikut :


(16)

• Data tahan 1000 kali baca/ tulis.

• Fully statis operation : 0 Hz sampai 24Hz.

• Tiga level kunci memori program.

• 128 x 8 bit RAM internal.

• 32 jalur I/O.

• Tiga 16 bit Timer/Counter.

4. Sensor warna koin yang digunakan sensor LDR yang dikelilingi oleh lampu LED.

5. Saklar limit switch (sensor berat) digunakan untuk menentukan berat koin yang sudah ditentukan pada sistem parkir otomatis.

6. Untuk menampilkan display tulisan digunakan LCD karakter 2x16 yang terletak pada palang pintu masuk dan keluar.

7. Sebagai penutup dan pembuka palang parkir digunakan motor stepper.

1.4 Sistematika Penulisan

Untuk mempermudah pembahasan dan pemahaman maka penulis membuat sistematika penulisan bagaimana sebenarnya prinsip kerja alat yang dibuat oleh penulis tersebut yaitu Sistem Parkir Otomatis.

BAB 1 PENDAHULUAN

Bagian ini meliputi latar belakang masalah, tujuan penulisan, batasan masalah, metode pengumpulan data, dan sistematika penulisan.


(17)

BAB 2 LANDASAN TEORI

Pada bagian ini akan dijelaskan landasan teori meliputi arsitektur dan konstruksi mikrokontroler AT89S52 (hardware dan software), sensor LDR, sensor fotodioda dan inframerah, selain itu juga membahas komponen pendukung lainnya yang berhubungan dengan Sistem Parkir Otomatis.

BAB 3 PERANCANGAN SISTEM

Pada bagian ini akan dijelaskan tentang perancangan alat yang meliputi diagram blok, skematik dari masing-masing rangkaian, diagram alir, serta program yang diisikan ke mikrokontroler AT89S52.

BAB 4 PENGUJIAN RANGKAIAN DAN ANALISA

Bagian ini meliputi uraian tentang cara menguji dan pembahasan cara kerja Sistem Parkir Otomatis.

BAB 5 KESIMPULAN DAN SARAN

Bagian ini merupakan penutup yang meliputi tentang kesimpulan dari pembahasan dari laporan proyek ini serta saran yang diberikan demi kesempurnaan dan pengembangan proyek ini pada masa yang akan datang ke arah yang lebih baik.


(18)

BAB 2

LANDASAN TEORI

2.1 Teori Dasar

2.1.1 Asitektur Mikrokontroler AT89S52

Perkembangan teknologi telah maju dengan pesat dalam perkembangan dunia elektronika, khususnya dunia mikroelektronika. Penemuan silikon menyebabkan bidang ini mampu memberikan sumbangan yang amat berharga bagi perkembangan teknologi modern. Atmel sebagai salah satu vendor yang mengembangkan dan memasarkan produk mikroelektronika telah menjadi suatu teknologi standar bagi para desainer sistem elektronika masa kini.

Tidak seperti sistem komputer, yang mampu menangani berbagai macam program aplikasi (misalnya pengolah kata, pengolah angka dan lain sebagainya), mikrokontroler hanya bisa digunakan untuk satu aplikasi tertentu saja. Perbedaan lainnya terletak pada perbandingan RAM dan ROM-nya. Pada sistem komputer perbandingan RAM dan ROM-nya besar, artinya program-program pengguna disimpan dalam ruang RAM yang relatif besar dan rutin-rutin antarmuka perangkat keras disimpan dalam ruang ROM yang kecil. Sedangkan pada mikrokontroler, perbandingan ROM dan RAM-nya yang besar artinya program kontrol disimpan dalam ROM (bisa Masked ROM atau Flash PEROM) yang ukurannya relatif lebih besar, sedangkan RAM digunakan sebagai tempat


(19)

penyimpanan sementara, termasuk register-register yang digunakan pada mikrokontroler yang bersangkutan.

Gambar 2.1. Blok Diagram Fungsional AT89S52

Mikrokontroler AT89S52 hanya memerlukan tambahan 3 buah kapasitor, 1 resistor dan 1 kristal serta catu daya 5 Volt. Kapasitor 10 mikro-Farad dan resistor 8k2 Ohm dipakai untuk membentuk rangkaian reset. Dengan adanya rangkaian reset ini AT89S52 otomatis direset begitu rangkaian menerima catu daya. Kristal dengan frekuensi maksimum 11,0592 MHz dan kapasitor 30


(20)

piko-Farad dipakai untuk melengkapi rangkaian oscilator pembentuk clock yang menentukan kecepatan kerja mikrokontroler.

Memori merupakan bagian yang sangat penting pada mikrokontroler. Mikrokontroler memiliki dua macam memori yang sifatnya berbeda. ROM (Read Only Memory) yang isinya tidak berubah meskipun IC kehilangan catu daya. Sesuai dangan keperluannya, dalam susunan MCS-51 memori penyimpanan program ini dinamakan sebagai memori program.

RAM (Random Access Memory) isinya akan sirna begitu IC kehilangan catu daya, dipakai untuk menyimpan data pada saat program bekerja. RAM yang dipakai untuk menyimpan data ini disebut sebagai memori data.

Ada berbagai jenis ROM, untuk mikrokontroler dengan program yang sudah baku dan diproduksi secara massal, program diisikan ke dalam ROM pada saat IC mikrokontroler dicetak di pabrik IC. Untuk keperluan tertentu mikrokontroler mengunakan ROM yang dapat diisi ulang atau Programable-Eraseable ROM yang disingkat menjadi PEROM atau PROM. Dulu banyak dipakai UV-EPROM (Ultra Violet Eraseable Programable ROM) yang kemudian dinilai mahal dan ditinggalkan setelah ada flash PEROM yang harganya jauh lebih murah.

Jenis memori yang dipakai untuk memori program AT89S52 adalah Flash PEROM, program untuk mengendalikan mikrokontroler diisikan ke memori itu lewat bantuan alat yang dinamakan sebagai AT89S52 Flash PEROM Programmer.

Memori data yang disediakan dalam chip AT89S52 sebesar 128 byte, meskipun hanya kecil saja tapi untuk banyak keperluan, memori berkapasitas 128


(21)

byte sudah cukup. Sarana Input/Output (I/O) yang disediakan cukup banyak dan bervariasi. AT89S52 mempunyai 32 jalur Input/Output. Jalur Input/Output paralel dikenal sebagai Port 1 (P1.0..P1.7) dan Port 3 (P3.0..P3.5 dan P3.7).

AT89S52 dilengkapi UART (Universal Asyncronous Receiver / Transmitter) yang biasa dipakai untuk komunikasi data secara serial. Jalur untuk komunikasi data serial (RXD dan TXD) diletakkan berhimpitan dengan P3.0 dan P3.1 di kaki nomor 10 dan 11, sehingga kalau sarana input/ouput yang bekerja menurut fungsi waktu, clock penggerak untaian pencacah ini bisa berasal dari oscillator kristal atau clock yang diumpan dari luar lewat T0 dan T1. T0 dan T1 berhimpitan dengan P3.4 dan P3.5, sehingga P3.4 dan P3.5 tidak bisa dipakai untuk jalur input/ouput parelel kalau T0 dan T1 terpakai.

AT89S52 mempunyai enam sumber pembangkit interupsi, dua diantaranya adalah sinyal interupsi yang diumpankan ke kaki INT0 dan INT1. Kedua kaki ini berhimpitan dengan P3.2 dan P3.3 sehingga tidak bisa dipakai sebagai jalur input/output paralel kalau INT0 dan INT1 dipakai untuk menerima sinyal interupsi. Port 1 dan Port 2, UART, Timer 0, Timer 1 dan sarana lainnya merupakan register yang secara fisik merupakan RAM khusus, yang ditempatkan di Special Function Register (SFR).

Berikut ini merupakan spesifikasi dari IC AT89S52 :

• Kompatibel dengan produk MCS-51.

8 Kbyte In-System Reprogammable Flash Memory.

• Daya tahan 1000 kali baca/tulis.

Fully Static Operation : 0 Hz sampai 24 MHz.


(22)

• 128 x 8 bit RAM internal.

• 32 jalur I/O.

Tiga 16 bit Timer/Counter.

Enam sumber interupt.

• Jalur serial dengan UART.

Mikrokontroller keluarga MCS 51 memiliki port-port yang lebih banyak (40 port I/O) dengan fungsi yang bisa saling menggantikan sehingga mikrokontroller jenis ini menjadi sangat digemari karena hanya dalam sebuah chip sudah bisa mengkafer untuk banyak kebutuhan. Konfigurasi dan Deskripsi kaki-kaki mikrokomputer. AT89S52 adalah sebagai berikut:

Gambar 2.2. Konfigurasi Pin Mikrokontroler AT89S52 Deskripsi pin-pin pada mikrokontroler AT89S52 :

VCC (Pin 40) Suplai tegangan 5 Volt.

GND (Pin 20) Ground.


(23)

Port 0 (Pin 39 – Pin 32)

Port 0 dapat berfungsi sebagai I/O biasa, low order multiplex address/data ataupun penerima kode byte pada saat flash programming Pada fungsinya sebagai I/O biasa port ini dapat memberikan output sink ke delapan buah TTL input atau dapat diubah sebagai input dengan memberikan logika 1 pada port tersebut. Pada fungsinya sebagai low order multiplex address/data, port ini akan mempunyai internal pull up. Pada saat flash programming diperlukan eksternal pull up, terutama pada saat verifikasi program.

Port 1 (Pin 1 – Pin 8)

Port 1 berfungsi sebagai I/O biasa, pada kaki ke 6, ke 7 dan ke 8 terdapat Mosi, Miso dan Sck sebagai masukan dari ISP Programmer yang terhubung ke komputer. Tanpa adanya port ini maka mikrokontroler tidak dapat diprogram oleh ISP Programmer.

Port 2 (Pin 21 – pin 28)

Port 2 berfungsi sebagai I/O biasa atau high order address, pada saat mengakses memori secara 16 bit. Pada saat mengakses memori 8 bit, port ini akan mengeluarkan isi dari P2 special function register. Port ini mempunyai internal pull up dan berfungsi sebagai input dengan memberikan logika 1. Sebagai output, port ini dapat memberikan output sink ke empat buah input TTL.


(24)

Port 3 merupakan 8 bit port I/O dua arah dengan internal pull up. Port 3 juga mempunyai fungsi pin masing-masing, yaitu sebagai berikut :

Nama Pin Fungsi

P3.0 (Pin 10) RXD (Port Input Serial) P3.1 (Pin 11) TXD (Port Output Serial) P3.2 (Pin 12) INTO (Interrupt 0 Eksternal) P3.3 (Pin 13) INT1 (Interrupt 1 Eksternal) P3.4 (Pin 14) T0 (Input Eksternal Timer 0) P3.5 (Pin 15) T1 (Input Eksternal Timer 1)

P3.6 (Pin 16) WR (untuk menulis eksternal data memori) P3.7 (Pin 17) RD (untuk membaca eksternal data memori)

Tabel 2.1. Konfigurasi Port 3 Mikrokontroler AT89S52

RST (pin 9)

Reset akan aktif dengan memberikan input high selama 2 cycle.

ALE/PROG (pin 30)

Address latch Enable adalah pulsa output untuk me-latch byte bawah dari alamat selama mengakses memori eksternal. Selain itu, sebagai pulsa input program (PROG) selama memprogram Flash.

PSEN (pin 29)

Program store enable digunakan untuk mengakses memori program eksternal.

EA (pin 31)

Pada kondisi low, pin ini akan berfungsi sebagai EA yaitu mikrokontroler akan menjalankan program yang ada pada memori eksternal setelah sistem di-reset.


(25)

Jika kondisi high, pin ini akan berfungsi untuk menjalankan program yang ada pada memori internal. Pada saat flash programming, pin ini akan mendapat tegangan 12 Volt.

XTAL1 (pin 19) Input untuk clock internal.

XTAL2 (pin 18) Output dari osilator.

2.1.2 Sensor Warna LDR

LDR (Light Dependent Resistor) merupakan suatu sensor yang apabila terkena cahaya maka tahanannya akan berubah. Tampilan fisik LDR dapat dilihat pada gambar 2.3. dibawah ini :

Gambar 2.3. LDR (Light Dependent Resistor)

Biasanya LDR (atau lebih dikenal dengan fotoresistor) dibuat berdasarkan kenyataan bahwa film kadmium sulfida mempunyai tahanan yang besar kalau tidak terkena cahaya dan tahanannya akan menurun kalau permukaan film itu terkena sinar. Resistor peka cahaya atau fotoresistor adala yang mengenainya. Fotoresistor dapat merujuk pula pada light dependent resistor (LDR), atau fotokonduktor.


(26)

Fotoresistor dibuat dari semikonduktor beresistansi tinggi. Jika cahaya yang mengenainya memiliki fre semikonduktor akan menyebabkan elektron memiliki energi yang cukup untuk meloncat ke pita konduksi. Elektron bebas yang dihasilkan (dan pasangan lubangnya) akan mengalirkan listrik, sehingga menurunkan resistansinya.

Besarnya tahanan LDR/fotoresistor dalam kegelapan mencapai jutaan ohm dan turun sampai beberapa ratus ohm dalam keadaan terang. LDR dapat digunakan dalam suatu jaringan kerja (network) pembagi potensial yang menyebabkan terjadinya perubahan tegangan kalau sinar yang datang berubah.

2.1.3 Photodioda

Fotodioda adalah suatu jenis dioda yang resistansinya berubah-ubah jika cahaya yang jatuh pada dioda berubah-ubah intensitasnya. Dalam gelap nilai tahanannya sangat besar hingga praktis tidak ada arus yang mengalir. Semakin kuat cahaya yang jatuh pada dioda maka semakin kecil nilai tahanannya. Foto dioda ini digunakan terutama sebagai saklar elektronik yang bereaksi akibat perubahan intensitas cahaya.

Photodioda dirancang beroperasi pada mode bias-mundur. Arus bocor bias mundur meningkat dengan peningkatan level cahaya. Harga arus umumnya adalah dalan rentang micro-ampere. Photodiode mempunyai waktu respon yang cepat terhadap berbagai cahaya. Cahaya diserap pada daerah penyambungan atau daerah


(27)

instrinsik menimbulkan pasangan electron-hole, kebanyakan pasangan tersebut menghasilkan arus yang berasal dari cahaya.

Mode operasi:

Photodiode dapat dioperasikan dalam 2 animal mode yang berbeda:

1. Mode photovoltaic: seperti solar sell, penyerapan pada photodiode menghasilkan tegangan yang dapat diukur. Bagaimanapun, tegangan yang dihasilkan dari tenaga cahaya ini sedikit tidak linier, dan range perubahannya sangat kecil.

2. Mode photokonduktivitas: disini photodiode di aplikasikan sebagai tegangan revers (tegangan balik) dari sebuah dioda (yaitu tegangan pada arah tersebut pada dioda tidak akan menghantarkan tanpa terkena cahaya) dan pengukuran menghasilkan arus photo (hal ini juga bagus untuk mengaplikasikan tegangan mendekati nol)

Gambar 2.4. Photodioda dan simbolnya Karakteristik bahan photodiode:

1. Silicon (Si) : arus lemah sangat gelap, kecepatan tinggi,sensitivitas bagus antara 400 nm sampai 1000 nm (terbaik antara 800 nm sampai 900 nm).


(28)

2. Germanium (Ge) : arus tinggi sangat gelap, kecepatan lambat, sensitivitas baik antara 600 nm sampai 1800 nm (terbaik 1400 nm sampai1500 nm). 3. Indium Gallium Arsennida (InGaAs) : mahal, arus kecil saat gelap,

kecepatan tinggi sensitivitas baik pada jarak 800 nm sampai 1700 nm (terbaik antara 1300 nm sampai 1600 nm)

2.1.4 LED Inframerah

Sinar infra merah termasuk dalam gelombang elektromagnetik yang tidak tampak oleh mata telanjang. Sinar ini tidak tampak oleh mata karena mempunyai panjang gelombang berkas cahaya yang terlalu panjang bagi tanggapan mata manusia. Sifat-sifat cahaya infra merah:

1. tidak tampak manusia

2. tidak dapat menembus materi yang tidak tembus pandang

Led inframerah adalah suatu bahan semikonduktor yang memancarkan cahaya monokromatik (cahaya yang hanya terdiri atas satu warna dan satu panjang gelombang) yang tidak koheren ketika diberi tegangan maju. Pengembangan led inframerah dimulai dengan alat inframerah dibuat dengan galliumarsenide. Cahaya infra merah pada dasarnya adalah radiasi elektromagnetik dari panjang gelombang yang lebih panjang dari cahaya tampak, tetapi lebih pendek dari radiasi gelombang radio, dengan kata lain infra merupakan warna dari cahaya tampak dengan gelombang terpanjang, yaitu sekitar 700 nm sampai 1 mm.


(29)

Gambar 2.5 Led Inframerah

Cahaya led inframerah timbul sebagai akibat penggabungan elektron dan hole pada persambungan antara dua jenis semikonduktor dimana setiap penggabungan disertai dengan pelepasan energi. Pada penggunaannya led inframerah ini merupakan komponen elektronika yang memancarkan cahaya infra merah dengan konsumsi daya sangat kecil. Led inframerah dapat diaktifkan dengan tegangan dc untuk transmisi atau sensor jarak dekat, dan dengan tegangan ac (30–40 KHz) untuk transmisi atau sensor jarak jauh.

Karakteristik dari LED Infra merah:

1.Dapat dipakai dalam waktu yang sangat lama. 2.Membutuhkan daya yang kecil.

3.Tidak mudah panas.

4.Dapat digunakan dalam jarak yang lebar.

Prinsip utama dari rangkaian sensor ini seperti layaknya sebuah saklar yang memberikan perubahan tegangan apabila terdapat penghalang diantara transceiver dan receiver. Sensor ini memiliki dua buah piranti yaitu rangkaian


(30)

pembangkit/pengirim (Led Inframerah) dan rangkaian penerima (Fotodiode). Rangkaian pembangkit/pengirim memancarkan sinar inframerah kemudian pancarannya diterima oleh penerima (fotodioda) sehingga bersifat menghantar akibatnya tegangan akan jatuh sama dengan tegangan ground (0). Dan sebaliknya apabila tidak mendapat pancaran sinar inframerah maka akan menghasilkan tegangan.

LED adalah dioda yang menghasilkan cahaya saat diberi energi listrik. Dalam bias maju sambungan p-n terdapat rekombinasi antara electron bebas dan lubang (hole). Energi ini tidak seluruhnya diubah ke dalam bentuk energi cahaya atau photon melainkan dalam bentuk panas sebagian. Untuk dioda yang memancarkan cahaya inframerah (infrared emiting dioda = IRED). Sinar inframerah tidak dapat dilihat manusia , dengan menambahkan obat gallium arsenide dengan berbagai bahan dapat dibuat LED dengan output yang dapat dilihat seperti sinar merah, hijau, kuning, atau biru. Dioda yang memancarkan cahaya (LED) digunakan untuk display alphabet dan digital serta sebagai lampu tanda.

Sebagian besar LED membutuhkan 1,5 V sampai 2,2 V untuk memberi bias maju dan membutuhkan arus sekitar 20 mA sampai 30 mA untuk memancarkan cahaya. Dengan level-level tegangan yang lebih tinggi, LED dapat terbakar apabila tegangan maju yang diberikan melebihi 2 V. untuk mengatasi hal ini LED biasanya dihubungkan secara seri dengan tahanan yang membatasi tegangan dan arus pada nilai yang dikehendaki. Proses pemancaran cahaya akibat adanya energi listrik yang diberikan terhadap suatu bahan disebut dengan sifat


(31)

elektroluminesensi. Material lain misalnya galiumarsenida pospat (GaP): photon energi cahaya dipancarkan untuk menghasilkan cahaya tampak. Jenis lain dari LED digunakan untuk menghasilkan energi tidak tampak seperti yang dipancarkan oleh pemancar laser atau inframerah.

Gambar 2.6 Simbol dan rangkaian dasar sebuah LED

Pemancar inframerah adalah dioda solid state yang terbuat dari bahan Galium Arsenida (GaAs) yang mampu memancarkan fluks cahaya ketika dioda ini dibias maju. Bila diberi bias maju electron dari daerah-n akan menutup lubang electron yang ada di daerah-p. selama proses rekombinasi ini, energi dipancarkan dari permukaan p dan n dalam bentuk photon. Photon-photon yang dihsilkan ini ada yang diserap lagi dan ada yang meninggalkan permukaan dalam bentuk radiasi energi.

2.1.5 Motor Stepper

Motor langkah (stepper) banyak digunakan dalam berbagai aplikasi, dipergunakan apabila dikehendaki jumlah putaran yang tepat atau di perlukan sebagian dari putaran motor. Suatu contoh dapat di jumpai pada disk drive, untuk proses pembacaan dan/atau penulisan data ke/dari cakram(disk), head baca-tulis ditempatkan pada tempat yang tepat di atas jalur atau track pada cakram, untuk head tersebut di hubungkan dengan sebuah motor langkah.

330฀ VCC


(32)

Aplikasi penggunaan motor langkah dapat juga di jumpai dalam bidang industri atau untuk jenis motor langkah kecil dapat di gunakan dalam perancangan suatu alat mekatronik atau robot. Motor langkah berukuran besar digunakan, misalnya, dalam proses pengeboran logam yang menghendaki ketepatan posisi pengeboran, dalam hal ini di lakukan oleh sebuah robot yang memerlukan ketepatan posisi dalam gerakan lengannya dan lain-lain.

Pada gambar di bawah ditunjukkan dasar susunan sebuah motor langkah (stepper).

Gambar 2.7. Diagram motor langkah (stepper)

Magnet permanen N-S berputar kearah medan magnet yang aktif. Apabila kumparan stator dialiri arus sedemikian rupa, maka akan timbul medan magnet dan rotor akan berputar mengikuti medan magnet tersebut.setiap pengalihan arus ke kumparan berikutnya menyebabkan medan magnet berputar berputar menurut suatu sudut tertentu, biasanya informasi besar sudut putar tertulis pada badan motor langkah yang bersangkutan. Jumlah keseluruhan pengalihan menentukan sudut perputaran motor.Jika pengalihan arus di tentukan, maka rotor akan

U

S A

D B


(33)

berhenti pada posisi terakhir. Jika kecepatan pengalihan tidak terlalu tinggi, maka slip akan dapat dihindari. Sehingga tidak di perlukan umpan balik (feedback) pada pengendalian motor langkah.

Motor langkah yang akan di gunakan memiliki 4 fase (pole atau kutub), pengiriman pulsa dari mikrokontroler ke rangkaian motor langkah dilakukan secara bergantian, masing-masing 4 data (sesuai dengan jumlah phase-nya), sebagian di tunjukkan pada gambar di bawah ini.

Gambar 2.8. Pemberian data/pulsa pada motor stepper

Pada saat yang sama ,untuk tiap motor langkah, tidak boleh ada 2 (dua) masukan atau lebih yang mengandung pulsa sama dengan 1 (high), atau dengan kata lain, pada suatu saat hanya sebuah masukan yang bernilai 1 (satu) sedangkan lainnya bernilai 0 (nol).

2.1.6 Liquid Crystal Display (LCD)

LCD merupakan salah satu komponen yang banyak dipilih untuk dipergunakan sebagai tampilan karena kemudahannya dalam mengatur tampilan agar lebih menarik. Salah satu contoh LCD yang banyak digunakan yaitu LCD M1632 (LCD 2x16).

C D A B


(34)

Controller

LCD (16 X 2 ) Segmen

Driver

Timing Signal 3 Serial Data Segmen Signal

16 Comon Signal

40 DB0 - 7

RS E R/W VLC VSS VDD 40

Gambar 2.9 Diagram Blok Tampilan Kristal Cair (LCD)

LCD display module M1632 terdiri dari dua bagian, yang pertama merupakan panel LCD sebagai media penampil informasi dalam bentuk huruf/ angka dua baris, masing – masing baris bisa menampung 16 huruf/ angka.

Bagian kedua merupakan sebuah sistem yang dibentuk dengan mikrokontroler yang ditempel dibalik pada panel LCD, berfungsi mengatur tampilan LCD. Dengan demikian pemakaian LCD M1632 menjadi sederhana, sistem lainnya cukup mengirimkan kode – kode ASCII dari informasi yang ditampilkan .

Spesifikasi LCD M1632, yaitu ; a. Tampilan 16 karakter 2 baris.

b. RAM data tampilan dan RAM pembangkit karakter dapat dibaca dari unit mikroprosesor.

c. Beberapa fungsi perintah antara lain adalah penghapusan tampilan (display clear), posisi kursor awal (cursor home), tampilan karakter kedip (display character blink), pengeseran krusor (cursor shift) dan penggeseran tampilan (display shif).

d. Rangkaian otomatis reset saat daya dinyalakan. e. Catu daya tunggal +5 volt.


(35)

2.1.7 Relay

Relay adalah komponen elektronika berupa saklar elektronik yang digerakkan oleh arus listrik. Secara prinsip, relai merupakan tuas saklar dengan lilitan kawat pada batang besi (solenoid) di dekatnya. Ketika solenoid dialiri arus listrik, tuas akan tertarik karena adanya gaya magnet yang terjadi pada solenoid sehingga kontak saklar akan menutup. Pada saat arus dihentikan, gaya magnet akan hilang, tuas akan kembali ke posisi semula dan kontak saklar kembali terbuka. Relay biasanya digunakan untuk menggerakkan arus/tegangan yang besar (misalnya peralatan listrik 4 ampere AC 220 V) dengan memakai arus/tegangan yang kecil (misalnya 0.1 ampere 12 Volt DC). Dalam pemakaiannya biasanya relay yang digerakkan dengan arus DC dilengkapi dengan sebuah dioda yang di-paralel dengan lilitannya dan dipasang terbalik yaitu anoda pada tegangan (-) dan katoda pada tegangan (+). Ini bertujuan untuk mengantisipasi sentakan listrik yang terjadi pada saat relay berganti posisi dari on ke off agar tidak merusak komponen di sekitarnya.

Gambar 2.10 Relay

Relay adalah suatu komponen elektronika yang akan bekerja bila ada arus yang melalui kumparannya. Sebuah relay terdiri dari kumparan yang dililitkan pada inti besi dan kontak-kontak penghubung. Apabila kumparan yang melilit inti


(36)

besi dilalui arus listrik maka akan menimbulkan induksi medan magnet, dan induksi ini akan menarik kontak-kontak penghubung relay.

Kontak penghubung relay terdiri dari dua bagian, yaitu :

1. Kontak NC (Normally Close)

Kontak penghubung dalam kondisi menutup atau terhubung bila relay tidak mendapat masukan tegangan pada kumparannya. Tetapi bila diberi tegangan yang mencukupi pada kumparannya maka kontak penghubung menjadi terbuka (kondisi awal sebelum diaktifkan close).

2. Kontak NO (Normally Open)

Kontak penghubung dalam kondisi terbuka bila relay tidak mendapat tegangan pada kumparannya. Tetapi bila diberi tegangan yang mencukupi pada kumparannya maka kontak penghubung menjadi tertutup atau terhubung.(kondisi awal sebelum diaktifkan open)


(37)

BAB 3

PERANCANGAN SISTEM

3.1 Perancangan Alat

3.1.1 Diagram Blok

Secara garis besar, diagram blok dari sistem parkir otomatis ini ditunjukkan pada gambar berikut ini:

Sensor Warna LDR Saklar Batas 2 Driver Motor Stepper 1 Saklar Batas 1 A T 8 9 S 5 2 1 Driver Sensor Warna LDR Motor Stepper 1 Driver Motor Stepper 2 Motor Stepper 2 Buzzer Driver LCD LCD

2 x 16

A T 8 9 S 5 2 2 Driver Motor Stepper 3 Motor Stepper 3 Driver Motor Stepper 4 Motor Stepper 4 LCD 2 x 16 Driver

LCD Fotodioda & inframerah 1

Driver Fotodioda & inframerah 1

Fotodioda & inframerah 2

Driver Fotodioda & inframerah 2

Fotodioda & inframerah 3

Driver Fotodioda & inframerah 3

Gambar 3.1. Diagram Blok Sistem Parkir Otomatis

Fungsi Tiap Blok : 1. Sensor Warna LDR


(38)

Merupakan sensor yang berfungsi mengetahui/menyeleksi warna koin yang masuk sesuai atau tidak dengan yang ditentukan. dimana prinsip kerjanya memberikan data high (terkena halangan) atau low (tidak ada halangan) ke mikrokontroler tetapi data yang masuk kemikrokontroler telah diset sesuai karakteristik koin yang ditentukan.

2. Mikrokontroler AT89S52

Merupakan pusat pengolah data yang diterima dari sensor untuk memproses kerja sistem parkir otomatis . Pada blok ini mikrokontroler telah diprogram untuk dapat membaca data dari sensor baik sensor warna LDR maupun sensor halangan yang kemudian mengolah semua data tersebut dan selanjutnya mengambil keputusan perangkat mana saja yang harus dikendalikan sesuai data yang diterima dari sensor baik menentukan koin itu sesuai atau tidak, membuka palang pintu masuk, palang pintu keluar dan perintah-perintah lainnya.

3. Driver Motor Stepper

Berfungsi untuk mengendalikan putaran motor stepper baik arah putarannya maupun gerak sudut putarannya agar dapat bekerja sesuai yang diinginkan.

4. Motor stepper - motor stepper 1

Motor ini berfungsi sebagai pengerak otomatis pembatas koin sebelum dinyatakan benar atau tidak oleh sensor warna dengan mengatur sudut buka batas koin tersebut.


(39)

- motor stepper 2

Motor ini berfungsi sebagai pemutar otomatis wadah koin benar atau salah sehingga koin dapat masuk sesuai tempatnya dengan mengatur sudut putar wadah tersebut.

- motor stepper 3

Motor ini berfungsi sebagai pengerak otomatis palang pintu masuk parkiran dan mengatur sudut buka tutup palang pintu parkiran tersebut.

- motor stepper 4

Motor ini berfungsi sebagai pengerak otomatis palang pintu keluar parkiran dan mengatur sudut buka tutup palang pintu parkiran tersebut.

5. Saklar batas - saklar batas 1

Saklar ini bertujuan agar koin yang masuk setelah diterima dari seleksi sensor warna LDR apakah dapat memberikan logika high ke mikrokontroler (artinya koin tertekan) atau memberikan logika low (koin tidak sampai tertekan) jika high maka koin diterima dan jika low koin bukan yang memenuhi karakter yang ditentukan.

- saklar batas 2

saklar ini bertujuan agar mikrokontroler mengetahui ada koin yang masuk atau tidak.


(40)

6. Buzzer

Buzzer merupakan indikator peringatan agar pengguna parkiran masuk mengetahui bahwa koin yang dimasukkan salah atau bukan koin yang ditentukan sehingga buzzer berbunyi.

7. Driver LCD

Merupakan rangkaian yang digunakan untuk menjalankan/mengaktifkan LCD baik untuk menghubungkan ke jalur mikrokontroler maupun mengatur kontras dari tampilan LCD.

8. LCD 2 x 16

Display LCD 2x16 berfungsi sebagai penampil pesan yang diinginkan baik pada pintu masuk (1) maupun pada pintu keluar (2).

9. Driver Fotodioda & inframerah

Merupakan rangkaian yang digunakan untuk menjalankan/mengaktifkan fotodioda & inframerah agar kompatibel dengan mikrokontroler.

10. Sensor fotodioda & inframerah

Sensor ini bertujuan sebagai sensor halangan baik untuk mengetahui mobil telah masuk area parker (1), mobil mau keluar (2), mobil telah keluar area parkir (3).


(41)

Rangkaian skematik dan layout PCB sensor warna dapat dilihat pada gambar 3.2 di bawah ini:

Gambar 3.2. Skematik Sensor Warna

Dari gambar 3.2. skematik sensor warna jelas terlihat salah satu kaki LDR (Light Dependent Resistor) dihubungkan terlebih dahulu ke tahanan 1000 Ohm sebelum dihubungkan ke Vcc 5 volt dan kaki yang lain dari LDR langsung dihubungkan ke ground

Jika LDR terkena cahaya, maka tahanan pada LDR akan berkurang, sehingga tegangan antara kaki LDR dengan tahanan 1000 Ohm juga akan berubah.

Tahanan 1000 Ohm digunakan agar arus yang diterima LDR tidak begitu besar. Tahanan 1000 Ohm sesuai dengan arus maksimum yang boleh diterima oleh LDR. Arus maksimum yang boleh diterima LDR adalah 5 mA. Jadi apabila tegangan yang digunakan tegangan Vcc 5 volt maka tahanan yang dapat digunakan adalah :

4 R = V / I = 5 Volt / 5 mA = 1000 Ohm Oleh karena itu digunakanlah tahanan 1000 Ohm.


(42)

3.1.3 Rangkaian Mikrokontroler AT89S52

Rangkaian mikrokontroller AT89S52 ini merupakan pusat pengolahan data dari sensor. Dalam alat ini, mikrokontroler digunakan sebagai untuk membaca dan mengolah data dari sensor. Input (masukan) pada rangkaian mokrokontroler ini dihubungkan dengan 3 buah sensor fotodioda dan inframerah, limit switch dan sensor warna LDR, sedangkan output (keluaran) dihubungkan dengan piranti tampilan, dalam hal ini dot matrix LCD, motor stepper dan sirinai. Rangkaian mikrokontroler ditunjukkan pada gambar berikut ini:

Gambar 3.3 rangkaian minimum mikrokontroller AT89S52

Pada rangkaian, Pin 31 External Access Enable (EA) diset high (H). Ini dilakukan karena mikrokontroller AT89S52 tidak menggunakan memori eskternal. Pin 18 dan 19 dihubungkan ke XTAL 12 MHz dan capasitor 33 pF. XTAL ini akan mempengaruhi kecepatan mikrokontroller AT89S52 dalam mengeksekusi setiap perintah dalam program. Pin 9 merupakan masukan reset (aktif tinggi). Pulsa transisi dari rendah ke tinggi akan me-reset mikrokontroller


(43)

Vreg

LM7805CT

IN OUT

TIP32C

100ohm

100uF

330ohm 220V 50Hz 0Deg

TS_PQ4_12

2200uF 1uF 1N5392GP

1N5392GP

12 Volt

5 Volt

ini. Pin 32 sampai 39 adalah Port 0 yang merupakan saluran/bus I/O 8 bit open collector dapat juga digunakan sebagai multipleks bus alamat rendah dan bus data selama adanya akses ke memori program eksternal. Pada Port 0 ini masing masing pin dihubungkan dengan resistor 4k7 ohm. Resistor 4k7 ohm yan dihubungkan ke port 0 befungsi sebagai pull up( penaik tegangan ) agar output dari mikrokontroller dapat mntrigger transistor. Pin 1 sampai 8 adalah Port 1. Pin 21 sampai 28 adalah Port 2. Dan Pin 10 sampai 17 adalah Port 3. Pin 20 merupakan ground dihubungkan dengan ground pada power supplay. Pin 40 merupakan sumber tegangan positif dihubungkan dengan + 5 volt dari power supplay.

3.1.4 Rangkaian Catu Daya (PSA)

Rangkaian ini berfungsi untuk mensupplay tegangan ke seluruh rangkaian yang ada. Rangkaian PSA yang dibuat terdiri dari dua keluaran, yaitu 5 volt dan 12 volt, keluaran 5 volt digunakan untuk mensupplay tegangan ke seluruh rangkaian.

Rangkaian power supplay ditunjukkan pada gambar 3.2 berikut ini :


(44)

Trafo CT merupakan trafo stepdown yang berfungsi untuk menurunkan tegangan dari 220 volt AC menjadi 12 volt AC. Kemudian 12 volt AC akan disearahkan dengan menggunakan dua buah dioda, selanjutnya 12 volt DC akan diratakan oleh kapasitor 2200 μF. Regulator tegangan 5 volt (LM7805CT) digunakan agar keluaran yang dihasilkan tetap 5 volt walaupun terjadi perubahan pada tegangan masukannya. LED hanya sebagai indikator apabila PSA dinyalakan. Transistor PNP TIP 32 disini berfungsi untuk mensupplay arus apabila terjadi kekurangan arus pada rangkaian, sehingga regulator tegangan (LM7805CT) tidak akan panas ketika rangkaian butuh arus yang cukup besar. Tegangan 12 volt DC langsung diambil dari keluaran 2 buah dioda penyearah.

3.1.4 Perancangan Sensor Inframerah

3.1.4.1 Perancangan Pemancar Inframerah

Untuk dapat mendeteksi adanya mobil, maka sistem parkir otomatis ini dilengkapi dengan 3 buah sensor inframerah. Semua sensor ini mempunyai rangkaian yang sama, hanya penempatannya saja yang berbeda.

Masing-masing sensor menggunakan 1 buah pemancar inframerah dan sebuah potodioda. Sensor ini memanfaatkan pantulan dari pemancar inframerah yang diterima oleh potodioda. Digunakan 1 buah pemancar inframerah pada masing-masing sensor bertujuan agar sinyal pantulan semakin kuat, sehingga mobil dapat terdeteksi dengan baik.


(45)

Setiap pantulan yang diterima oleh potodioda akan diolah dan dijadikan data digital, sehingga bila potodioda mendapatkan pantulan dari pemancar inframerah, maka akan mengirimkan sinyal low (0) ke mikrokontrolert AT89S52. Dengan demikian mikrokontroler dapat mendeteksi sensor yang mengirimkan sinyal low dan mengambil tindakan untuk mengatur putaran motor ke kanan atau ke kiri. Rangkaian pemancar inframerah tampak seperti gambar di bawah ini:

Gambar 3.5 Rangkaian Pemancar inframerah

Pada rangkaian di atas digunakan 1 buah LED inframerah yang diparalelkan, dengan demikian maka intensitas yang dipancarkan oleh inframerah semakin kuat, karena merupakan gabungan dari buah LED inframerah. Resistor yang digunakan adalah 100 ohm sehingga arus yang mengalir pada masing-masing LED inframerah adalah sebesar:

5

0, 05 50 100

V

i A atau mA

R

= = =

330Ω฀ VCC


(46)

Dengan besarnya arus yang mengalir ke LED inframerah, maka intensitas pancaran inframerah akan semakin kuat, yang menyebabkan jarak pantulannya akan semakin jauh.

3.1.4.2 Perancangan Penerima Inframerah

Pantulan dari sinar inframerah akan diterima oleh potodioda, kemudian akan diolah oleh rangkaian penerima agar menghasilkan data biner, dimana jika potodioda menerima pantulan sinar inframerah maka output dari rangkaian penerima ini akan mengeluarkan logika low (0), namun jika potodioda tidak menerima pantulan sinar inframerah, maka output dari rangkaian penerima akan mengeluarkan logika high (1).

Rangkaian penerima inframerah seperti gambar di bawah ini:

Gambar 3.6 Rangkaian Penerima sinar inframerah

VCC 5V 330k฀ Poto dioda 4.7k฀ C828 10k฀ 1.0k฀ Q2 2SA733 10k฀ 2SC945 4.7k฀ 1.0k฀ 1.0k฀ Q4 2SA733 10k฀ 330฀ LED1


(47)

Potodioda memiliki hambatan sekitar 15 s/d 20 Mohm jika tidak terkena sinar inframerah, dan hambatannya akan berubah menjadi sekitar 80 s/d 300 Kohm jika terkena sinar inframerah tergantung dari besarnya intensitas yang mengenainya. Semakin besar intensitasnya, maka hambatannya semakin kecil.

Pada rangkaian di atas, output dari potodioda diumpankan ke basis dari transistor tipe NPN C828, ini berarti untuk membuat transistor tersebut aktif maka tegangan yang keluar dari potodioda harus lebih besar dari 0,7 volt. Syarat ini akan terpenuhi jika potodioda mendapatkan sinar inframerah. Analisanya sebagai berikut:

Jika tidak ada sinar inframerah yang mengenai potodioda, maka hambatan pada potodioda 15 Mohm, sehingga:

2 330.000

5 0,107 1 2 15.000.000 330.000

R

Vo xVcc x Volt

R R

== =

+ +

Vout akan diumpankan ke basis dari transistor C828, karena tegangannya hanya 0,107 Volt maka transistor tidak aktif.

Jika ada sinar inframerah yang mengenai potodioda, maka hambatan pada potodioda 300 Kohm, sehingga:

2 330.000

5 2, 619 1 2 300.000 330.000

R

Vo xVcc x Volt

R R

== =


(48)

Vout akan diumpankan ke basis dari transistor C828, karena tegangannya lebih besar dari 0,7 volt yaitu 2,619 Volt maka transistor akan aktif.

Aktifnya transistor C828 akan menyebabkan colektornya terhubung ke emitor, sehingga colektor mandapat tegangan 0 volt dari ground, tegangan ini diumpankan ke basis dari transistor ke-2 tipe PNP A733, sehingga transistor ini juga aktif. Seterusnya aktifnya transistor A733 akan menyebabkan colektornya terhubung ke emitor, sehingga colektor mandapat tegangan 5 volt dari Vcc, tegangan ini diumpankan ke basis dari transistor ke-3 tipe NPN C945, sehingga transistor ini juga aktif.

Kolektor dari transistor C945 dihubungkan mikrokontroler AT89S52 sehingga jika transistor ini aktif, maka kolektor akan mendapatkan tegangan 0 volt dari ground. Tegangan 0 volt inilah yang merupakan sinyal low (0) yang diumpankan ke mikrokontroler AT89S52, sehingga mikrokontroler dapat mengetahui bahwa sensor ini mengirimkan sinyal, yang berarti bahwa kreta api akan lewat.

Transistor ke-4 tipe PNP A733 berfungsi untuk menyalakan LED sebagai indikator bahwa sensor ini menerima pantulan sinar inframerah dari pemancar. LED ini akan menyala jika sensor menerima sinar inframerah, dan akan mati jika sensor tidak menerima sinar inframerah.


(49)

3.1.5 Perancangan Driver penggerak Motor Stepper

Rangkaian driver penggerak motor ini hanya menggunakan IC buffer ULN 2803, dimana fungsi IC ini agar data yang yang diterima dari mikrokontroler benar-benar terdefinisi sebagai data digital (high/low) sehingga dat-data ini dapat mengatur gerakan motor stepper searah jarum jam, berlawanan jarum jam ataupun mengatur sudut putaran motor steper.


(50)

Dimana IC ULN 2803 ini diaktifkan dengan tegangan supplay 12 VDC, mempunyai 8 bit data input dan 8 bit data output dimana tegangan supplay diberikan pada common (kaki 10) dan ground pada kaki 9. Tetapi pada rangkaian ini hanya digunakan 4 bit data untuk menggerakkan 1 motor stepper, karena motor stepper hanya memiliki 4 bit data yang dapat digerakkan dan diatur putaran nya baik searah maupun berlawanan jarum jam.

3.1.6 Rangkaian Relay

Relay berfungsi sebagai saklar elektronik yang dapat menghidupkan atau mematikan peralatan elektronik (dalam hal sirinai). Rangkaian relay pengendali kipas tampak seperti gambar 3.8 berikut :

Ke mikrokontroler

4k7

C945

relay NO

Sirinai

+

-NO NC

Gambar 3.8 Rangkaian Relay Pengendali sirinai

Relay merupakan salah satu komponen elektronik yang terdiri dari lempengan logam sebagai saklar dan kumparan yang berfungsi untuk menghasilkan medan magnet. Pada rangkaian ini digunakan relay 12 volt.

Pada rangkaian ini untuk mengaktipkan atau menon-aktipkan relay digunakan transistor tipe NPN. Dari gambar dapat dilihat bahwa negatip relay dihubungkan ke kolektor dari transistor NPN (C945) dan positif relay dihubungkan pada tegangan 12 volt., ini berarti jika transistor dalam keadaan


(51)

aktip maka kolektor akan terhubung ke emitor dimana emitor langsung terhubung ke ground yang menyebabkan tegangan di kolektor menjadi 0 volt, keadaan ini akan mengakibatkan relay aktip. Disaat relay aktif maka kaki-kaki relay yang berfungsi sebagai Normali Close sudah mendapatkan tegangan 12 volt. Sementara kaki-kaki relay yang berfungsi sebagai Normali open masih belum mendapatkan tegangan 12 volt sebelum ada inputan (inputan berupa logika high atau 5 volt). Sebaliknya jika transistor tidak aktip, maka kolektor tidak terhubung ke emitor, sehingga tegangan pada kolektor menjadi 12 volt, keadaan ini menyebabkan relay tidak aktip. Resistor didalam rangkaian berfungsi sebagai pull up untuk menaikkan tegangan agar inputan mikrokontroler sanggup mengaktifkan relay. Dioda dihubungkan secara terbalik untuk mengantisipasi sentakan listrik yang terjadi pada saat relay berganti posisi dari on ke off agar tidak merusak komponen di sekitarnya, sentakan itu hanya terjadi ketika relay dinonaktipkan, pada saat ini arus akan terus mengalir melalui kumparan dan arus ini akan dialirkan ke dioda. Tanpa adanya dioda sentakan listrik itu akan mengalir ke transistor, yang mengakibatkan kerusakan pada transistor.

3.1.7 Perancangan Rangkaian Saklar Batas

(AT89S51)


(52)

Saklar batar mempunyai 3 funsi pin common, NO dan NC. Dimana Common dihubungkan ke VCC 5 Volt. NO akan menghasilkan tegangan 5 Volt pada pin Common telah dihubungkan dengan VCC 5 Volt dan NO akan 5 Volt Jika Saklar ditekan. Dalam alat ini NO lah yang digunakan artinya jika saklar ditekan maka NO akan 5 Volt setelah dilepaskan akan berubah menjadi 0 volt. Perubahan inilah yang dikenali oleh mikrokontroler sebagai pertanda bahwa koin telah dimasukkan atau tidak. Dan digunakan sebagai sensor berat pada koin, artinya jika koin jatuh dan membuat limit switch tertekan maka koin benar sebaliknya maka koin salah.

3.1.8 Display LCD Character 2x16

Display LCD 2x16 berfungsi sebagai penampil nilai kuat induksi medan elektromagnetik yang terukur oleh alat. LCD yang digunakan pada alat ini mempunyai lebar display 2 baris 16 kolom atau biasa disebut sebagai LCD Character 2x16, dengan 16 pin konektor, yang didifinisikan sebagai berikut:

PIN Nama fungsi

1 VSS Ground voltage

2 VCC +5V

3 VEE Contrast voltage

4 RS

Register Select

0 = Instruction Register 1 = Data Register

5 R/W

Read/ Write, to choose write or read mode

0 = write mode 1 = read mode


(53)

6 E

Enable

0 = start to lacht data to LCD character

1= disable

7 DB0 LSB

8 DB1 -

9 DB2 -

10 DB3 -

11 DB4 -

12 DB5 -

13 DB6 -

14 DB7 MSB

15 BPL Back Plane Light

16 GND Ground voltage

Tabel 3.1 fungsi pinLCD character 2x16

Gambar 3.10 LCD character 2x16

Modul LCD terdiri dari sejumlah memory yang digunakan untuk display. Semua teks yang kita tuliskan ke modul LCD akan disimpan didalam memory ini, dan modul LCD secara berturutan membaca memory ini untuk menampilkan teks ke modul LCD itu sendiri.


(54)

Gambar 3.11 Peta memory LCD character 2x16

Pada peta memori diatas, daerah yang berwarna biru ( 00 s/d 0F dan 40 s/d 4F ) adalah display yang tampak. jumlahnya sebanyak 16 karakter per baris dengan dua baris. Angka pada setiap kotak adalah alamat memori yang bersesuaian dengan posisi dari layar. Dengan demikian dapat dilihat karakter pertama yang berada pada posisi baris pertama menempati alamat 00h. dan karakter kedua yang berada pada posisi baris kedua menempati alamat 40h

Agar dapat menampilkan karakter pada display maka posisi kursor harus terlebih dahulu diset. Instruksi Set Posisi Kursor adalah 80h. dengan demikian untuk menampilkan karakter, nilai yang terdapat pada memory harus ditambahkan dengan 80h.

Sebagai contoh, jika kita ingin menampilkan huruf “B” pada baris kedua pada posisi kolom kesepuluh.maka sesuai dengan peta memory, posisi karakter pada kolom 10 dari baris kedua mempunyai alamat 4Ah, sehingga sebelum kita menampilkan huruf “B” pada LCD, kita harus mengirim instruksi set posisi kursor, dan perintah untuk instruksi ini adalah 80h ditambah dengan alamat 80h + 4Ah =0Cah. Sehingga dengan mengirim perintah 0Cah ke LCD, akan menempatkan kursor pada baris kedua dan kolom ke 11.


(55)

3.2 Kerja Alat Keseluruhan

Sebelum mobil dapat memasuki area parkiran maka pemilik mobil harus memasukkan koin ditandai dengan adanya penekanan limit switch 1 sebagai tanda adanya koin yang telah dimasukkan, setelah koin dimasukkan maka sensor warna LDR yang telah dikalibrasi sesuai warna koin yang ditentukan akan menyeleksi apakah koin tersebut warna nya benar atau tidak, jika benar motor stepper 1 diputar sehingga koin jatuh mengenai limit switch 2 yang digunakan sebagai penyeleksi berat koin jika sesuai beratnya maka motor stepper 2 bergerak/berputar untuk menjatuhkan koin ke wadah koin yang benar. Jika salah/warna koin tidak sesuai motor stepper 1 akan berputar untuk menjatuhkan koin ke limit switch 2 dan langsung motor stepper 2 berputar untuk menjatuhkan koin ketempat wadah koin yang salah. Jika wana koin benar maka motor stepper 1 berputar menjatuhkan koin dan diseleksi beratnya dengan limit switch 2 jika beratnya tidak sesuai maka motor stepper 2 akan menjatuhkan nya ke wadah koin yang salah. Motor Stepper 3 berfungsi membuka pintu masuk disaat koin telah dinyatakan benar oleh sensor warna dan limit switch 2 dan menutup setelah sensor halangan 1 terdeteksi sampai badan mobil melewatinya. Motor stepper 4 berfungsi membuka pintu keluar disaat sensor halangan 2 terdeteksi dan menutup sampai sensor halangan 3 terdeteksi sampai badan mobil keluar melewatinya. LCD1 akan menampilkan pesan-pesan yang bertujuan untuk informasi memasuki area parkira ditambah dengan sirine yang bertujuan sebagai pendukung pesan peringatan jika terjadi kesalahan untuk memasuki area parkiran. LCD 2 akan menampilkan pesan-pesan yang bertujuan untuk informasi mobil yang telah masuk area parkiran dan


(56)

informasi mobil yang keluar area parkiran. Keseluruhan dari hardware yang digunakan dikerjakan secara pemrograman.


(57)

BAB 4

PENGUJIAN RANGKAIAN DAN ANALISA

4.1 Pengujian Rangkaian

4.1.1 Pengujian Rangkaian Mikrokontroler AT89S52

Pengujian pada rangkaian mikrokontroler AT89S52 ini dapat dilakukan dengan menghubungkan rangkaian minimum mikrokontroler AT89S52 dengan power suplay sebagai sumber tegangan. Kaki 40 dihubungkan dengan sumber tegangan 5 Volt, sedangkan kaki 20 dihubungkan dengan ground.

Gambar 4.1 pengujian rangkaian mikrokontroller AT89S52

Kemudian tegangan pada kaki 40 diukur dengan menggunakan Voltmeter. Dari hasil pengujian didapatkan tegangan pada kaki 40 sebesar 4,9 Volt. Langkah selanjutnya adalah dengan cara menghubungkan pin17 (P3.7) dengan sebuah transistor C945 yang dihubungkan dengan sebuah LED indikator.

AT89S52 AT89S52


(58)

Transistor disini berfungsi sebagai saklar untuk mengendalikan hidup/mati LED. Dengan demikian LED akan menyala jika transistor aktip dan sebaliknya LED akan mati jika transistor tidak aktip. Tipe transistor yang digunakan adalah NPN C945, dimana transistor ini akan aktif (saturasi) jika pada basis diberi tegangan 5 volt (logika high) dan transistor ini akan tidak aktif jika pada basis diberi tegangan 0 volt (logika low). Basis transistor ini dihubungkan ke sebuah resistor 4k7 ohm. , resistor ini berfungsi agar arus yang dikeluarkan oleh pin17 (P3.7) cukup besar untuk men-trigger transistor C945.

4.1.2 Pengujian Rangkaian Sensor Inframerah

Rangkaian ini dikatakan baik apabila ketika photodioda terkena pantulan inframerah, LED indicator akan menyala dan tegangan keluarannya jika diukur adalah 0 V. Demikian sebaliknya, ketika photodiode tidak terkena pantulan inframerah, LED indicator tidak akan menyala, dan tegangan keluarannya jika di ukur adalah 5 V. Rangkaian sensor inframerah ditunjukkan oleh gambar berikut:

Gambar 4.2 Rangkaian sensor inframerah VCC 5V 330k฀ Poto dioda 4.7k฀ C828 10k฀ 1.0k฀ Q2 2SA733 10k฀ 2SC945 4.7k฀ 1.0k฀ 1.0k฀ Q4 2SA733 10k฀ 330฀ LED1 AT89S51 330฀ VCC 5V


(59)

4.1.3 Pengujian Rangkaian Driver Motor Stepper

Rangkaian untuk mengendalikan perputaran motor Motor stepper

Gambar 4.3 Rangkaian Driver Motor Stepper

Untuk menguji rangkaian ini untuk memutar searah jarum jam, yaitu

Dengan memberikan tegangan VCC secara berganti mulai dari P4 – P7 secara terus-menerus maka motor stepper akan berputar searah jarum jam

Untuk menguji rangkaian ini untuk memutar berlawanan jarum jam, yaitu

Dengan memberikan tegangan VCC secara berganti mulai dari P7 – P4 secara terus-menerus maka motor stepper akan berputar berlawanan jarum jam

4.1.4 Pengujian Rangkaian PSA

Rangkaian PSA ini berfungsi untuk mensuplay tegangan ke seluruh rangkaian ditunjukkan oleh gambar berikut :


(60)

Vreg IN OUT

100ohm

100uF

330ohm 220V 50Hz 0Deg

TS_PQ4_12

2200uF 1uF

1N5392GP 1N5392GP

5 Volt

Gambar 4.4 Rangkaian PSA

Pengujian pada bagian rangkaian power supply ini dapat dilakukan dengan mengukur tegangan keluaran dari rangkaian ini dengan menggunakan Voltmeter. Pada power supply ini terdapat dua keluaran. Tegangan power supply ini digunakan untuk men-supply tegangan ke seluruh rangkaian. Mikrokontroler AT89S52 dapat bekerja pada tegangan 4,0 Volt sampai 5,5 Volt ini cukup men-supply tegangan mikrikontroler AT89S51. Rangkaian PSA ini dikatakan baik ketika nilai tegangan outputnya berkisar antara 4,5 Volt hingga 5,0 Volt.

4.1.5 Pengujian Rangkaian Sirine

Pengujian pada rangkaian buzzer ini dapat dilakukan dengan memberikan tegangan 5 volt dan 0 volt pada basis transistor C945. Transistor C945 merupakan transistor jenis NPN, transistor jenis ini akan aktip jika pada basis diberi tegangan > 0,7 volt dan tidak aktip jika pada basis diberi tegangan < 0,7 volt. Aktipnya transistor akan membunyikan buzzer. Jika diberikan tegangan 5 Volt pada basis , maka sirine akan berbunyi, sebalikanya . Jika diberikan tegangan 0 Volt pada basis , maka sirine akan nonaktif/tidak berbunyi


(61)

4.1.6 Pengujian Rangkaian Saklar batas

Pengujian pada rangkaian ini dilakukan dengan cara menekan saklar batas. Pada saat saklar batas tidak ditekan, maka tegangan output dari rangkaian ini sebesar 5 volt. Namun saat saklar batas ditekan, maka tegangan output dari rangkaian ini sebesar 0 volt.

4.1.7 Pengujian LCD

Bagian ini hanya terdiri dari sebuah LCD dot matriks 2 x 16 karakter yang berfungsi sebagai tampilan hasil pengukuran dan tampilan dari beberapa keterangan. LCD dihubungkan langsung ke Port 0 dari mikrokontroler yang berfungsi mengirimkan data hasil pengolahan untuk ditampilkan dalam bentuk alfabet dan numerik pada LCD.

AT89S52

AT89S52


(62)

Display karakter pada LCD diatur oleh pin EN, RS dan RW: Jalur EN dinamakan Enable. Jalur ini digunakan untuk memberitahu LCD bahwa anda sedang mengirimkan sebuah data. Untuk mengirimkan data ke LCD, maka melalui program EN harus dibuat logika low “0” dan set ( high ) pada dua jalur kontrol yang lain RS dan RW. Jalur RW adalah jalur kontrol Read/ Write. Ketika RW berlogika low (0), maka informasi pada bus data akan dituliskan pada layar LCD. Ketika RW berlogika high ”1”, maka program akan melakukan pembacaan memori dari LCD. Sedangkan pada aplikasi umum pin RW selalu diberi logika low ( 0 )

berdasarkan keterangan di atas maka kita sudah dapat membuat progam untuk menampilkan karaker pada display LCD. Adapun program yang diisikan ke mikrokontroller untuk menampilkan karakter pada display LCD adalah sebagai berikut:

rs bit p2.0

rw bit p2.1

en bit p2.2

kirim_karakter:

call data_penampil mov a,#'H'

call kirim_data mov a,#'e' call kirim_data mov a,#'l' call kirim_data mov a,#'l' call kirim_data mov a,#'o'


(63)

call kirim_data jmp kirim_karakter

data_penampil:

mov a,#80h ;posisi awal karakter

call data_scan ret

kirim_data: mov p0,a setb rs clr rw clr en call delay ret

end

Program di atas akan menampilkan kata “Hello” di baris pertama pada display LCD 2x16.

Pada alat dalam alat ini, LCD 1 akan menampilkan JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan SISA : (sesuai dengan sisa mobil yang masih dapat masuk diarea parkis sesuai jumlah maksimum) dibaris kedua. Disaat ada yang memasukkan koin akan tam pil di LCD tampilan SLHKAN MASUK (jika koin benar) dan tampilan MAAF KOIN ANDA SLH ( jika koin yang dimasukkan salah). Tampilan LCD akan kembali seperti awal. Yaitu JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan SISA : (sesuai dengan sisa mobil yang masih dapat masuk diarea parkis sesuai jumlah maksimum) dibaris kedua.


(64)

Pada alat dalam alat ini, LCD 2 akan menampilkan JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan JLH MOBIL MSK : (sesuai penambahan setiap mobil yang memasuki area parkiran) dibaris kedua ketika ada mobil yang keluar maka pesan yang akan ditampilkan yaitu TERIMAKASIH dan Tampilan LCD akan kembali seperti awal. Yaitu JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan JLH MOBIL MSK : (sesuai penambahan setiap mobil yang memasuki area parkiran) dibaris kedua.


(65)

BAB 5

KESIMPULAN DAN SARAN

5.1 Kesimpulan

1. Alat yang dirancang hanya mampu menjalankan sistem parkir otomatis yang menggunakan koin sebagai syarat masuknya , dimana koin tersebut di seleksi melalui sensor LDR (warna koin,putih) dan sensor limit switch (sensor berat koin) dengan mikrokontroler sebagai central processingnya dalam mengolah data yang ditampilkan didalam LCD dengan sirine sebagai tanda peringatannya.

2. Sensor warna LDR adalah salah satu sensor yang efektif dalam membaca warna koin putih, kelebihan nya sesnsor ini mudah didapat, murah dan mudah dalam penggunaannya yaitu dengan memanfaatkan nilai resistansi yang didapat pada setiap pembacan warna.

3. Mikrokontroler merupakan pusat pengolah data yang cukup handal dalam merancang sistem parkir otomatis menggunakan koin dan menampilkan pesan pada LCD, karena dengan mikrokontroler kita dapat mengatur program-program sesuai dengan fungsi yang kita inginkan dan dapat ditampilkan dengan LCD.

4. Sistem parkir otomatis menggunakan koin ini dapat bekerja cepat dan teliti dalam menyeleksi koin sesuai yang ditentukan yang digerakkan secara otomatis.


(66)

5.2 Saran

1. Agar pembacaan koin lebih akurat sebaiknya digunakan sensor warna yang lebih baik agar warna koin lebih bervariasi, seperti sensor warna yang lebih mempunyai variasi pembacaan warna putih.

2. Sensor berat yang digunakan lebih baik diguakan sensor berat yang dapat membaca berat koin tersebut, karena limit switch hanya membaca dua keadaan high/low dan tidak dapat membaca nilai analog nya.


(67)

DAFTAR PUSTAKA

Agfianto Eko Putra, 2002, ”Belajar Mikrokontroler AT89S51/52/653 Teori dan Aplikasi”, Edisi 2, Yogyakarta : Penerbit Gava Media.

Bhisop, Owen, 2004, Dasar-dasar Elektronika, Jakarta : Erlangga

Endra Pirowarno, 1998, ” Mikroprocessor dan Interfacing”, Edisi 1, Yogyakarta : Penerbit Andi.

Usman, 2008, ”Teknik Antarmuka + Pemograman Mikrokontroler AT89S52 ”, Edisi 1, Yogyakarta : Penerbit Andi.

Widodo Budiharto, 2007, ”Sistem Akuisisi Data”, Jakarta : Penerbit PT Elex Media Komputindo.

Diakses tanggal 03 Juli 2010-07-07

Diakses tanggal 03 Juli 2010-07-07


(1)

Display karakter pada LCD diatur oleh pin EN, RS dan RW: Jalur EN dinamakan Enable. Jalur ini digunakan untuk memberitahu LCD bahwa anda sedang mengirimkan sebuah data. Untuk mengirimkan data ke LCD, maka melalui program EN harus dibuat logika low “0” dan set ( high ) pada dua jalur kontrol yang lain RS dan RW. Jalur RW adalah jalur kontrol Read/ Write. Ketika RW berlogika low (0), maka informasi pada bus data akan dituliskan pada layar LCD. Ketika RW berlogika high ”1”, maka program akan melakukan pembacaan memori dari LCD. Sedangkan pada aplikasi umum pin RW selalu diberi logika low ( 0 )

berdasarkan keterangan di atas maka kita sudah dapat membuat progam untuk menampilkan karaker pada display LCD. Adapun program yang diisikan ke mikrokontroller untuk menampilkan karakter pada display LCD adalah sebagai berikut:

rs bit p2.0 rw bit p2.1 en bit p2.2 kirim_karakter: call data_penampil mov a,#'H' call kirim_data mov a,#'e' call kirim_data mov a,#'l' call kirim_data mov a,#'l' call kirim_data mov a,#'o'


(2)

call kirim_data jmp kirim_karakter

data_penampil:

mov a,#80h ;posisi awal karakter

call data_scan ret

kirim_data: mov p0,a setb rs clr rw clr en call delay ret

end

Program di atas akan menampilkan kata “Hello” di baris pertama pada display LCD 2x16.

Pada alat dalam alat ini, LCD 1 akan menampilkan JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan SISA : (sesuai dengan sisa mobil yang masih dapat masuk diarea parkis sesuai jumlah maksimum) dibaris kedua. Disaat ada yang memasukkan koin akan tam pil di LCD tampilan SLHKAN MASUK (jika koin benar) dan tampilan MAAF KOIN ANDA SLH ( jika koin yang dimasukkan salah). Tampilan LCD akan kembali seperti awal. Yaitu JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan SISA : (sesuai dengan sisa mobil yang masih dapat masuk diarea parkis sesuai jumlah maksimum) dibaris kedua.


(3)

Pada alat dalam alat ini, LCD 2 akan menampilkan JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan JLH MOBIL MSK : (sesuai penambahan setiap mobil yang memasuki area parkiran) dibaris kedua ketika ada mobil yang keluar maka pesan yang akan ditampilkan yaitu TERIMAKASIH dan Tampilan LCD akan kembali seperti awal. Yaitu JLH MAKS : (sesuai angka yang diinginkan) dibaris pertama dan JLH MOBIL MSK : (sesuai penambahan setiap mobil yang memasuki area parkiran) dibaris kedua.


(4)

BAB 5

KESIMPULAN DAN SARAN

5.1 Kesimpulan

1. Alat yang dirancang hanya mampu menjalankan sistem parkir otomatis yang menggunakan koin sebagai syarat masuknya , dimana koin tersebut di seleksi melalui sensor LDR (warna koin,putih) dan sensor limit switch (sensor berat koin) dengan mikrokontroler sebagai central processingnya dalam mengolah data yang ditampilkan didalam LCD dengan sirine sebagai tanda peringatannya.

2. Sensor warna LDR adalah salah satu sensor yang efektif dalam membaca warna koin putih, kelebihan nya sesnsor ini mudah didapat, murah dan mudah dalam penggunaannya yaitu dengan memanfaatkan nilai resistansi yang didapat pada setiap pembacan warna.

3. Mikrokontroler merupakan pusat pengolah data yang cukup handal dalam merancang sistem parkir otomatis menggunakan koin dan menampilkan pesan pada LCD, karena dengan mikrokontroler kita dapat mengatur program-program sesuai dengan fungsi yang kita inginkan dan dapat ditampilkan dengan LCD.

4. Sistem parkir otomatis menggunakan koin ini dapat bekerja cepat dan teliti dalam menyeleksi koin sesuai yang ditentukan yang digerakkan secara otomatis.


(5)

5.2 Saran

1. Agar pembacaan koin lebih akurat sebaiknya digunakan sensor warna yang lebih baik agar warna koin lebih bervariasi, seperti sensor warna yang lebih mempunyai variasi pembacaan warna putih.

2. Sensor berat yang digunakan lebih baik diguakan sensor berat yang dapat membaca berat koin tersebut, karena limit switch hanya membaca dua keadaan high/low dan tidak dapat membaca nilai analog nya.


(6)

DAFTAR PUSTAKA

Agfianto Eko Putra, 2002, ”Belajar Mikrokontroler AT89S51/52/653 Teori dan Aplikasi”, Edisi 2, Yogyakarta : Penerbit Gava Media.

Bhisop, Owen, 2004, Dasar-dasar Elektronika, Jakarta : Erlangga

Endra Pirowarno, 1998, ” Mikroprocessor dan Interfacing”, Edisi 1, Yogyakarta : Penerbit Andi.

Usman, 2008, ”Teknik Antarmuka + Pemograman Mikrokontroler AT89S52 ”, Edisi 1, Yogyakarta : Penerbit Andi.

Widodo Budiharto, 2007, ”Sistem Akuisisi Data”, Jakarta : Penerbit PT Elex Media Komputindo.

Diakses tanggal 03 Juli 2010-07-07

Diakses tanggal 03 Juli 2010-07-07